O código descrito em VHDL abaixo descreve um circuito digita...

Próximas questões
Com base no mesmo assunto
Q821478 Engenharia Eletrônica

O código descrito em VHDL abaixo descreve um circuito digital.

Imagem associada para resolução da questão

Qual sua função?

Alternativas